What is this called?

This is the place for any magazine-related discussions that don't fit in any of the column discussion boards below.
Post Reply
rlang
Posts: 4
Joined: Tue Sep 14, 2004 1:01 am
Location: usa
Contact:

What is this called?

Post by rlang »

I’m looking for an ic that does the following but I don’t know what to ask for. It basically toggles an output on and off based on a clock pulse using the truth table below. It would be nice if the ic had 8 of these toggles.<p>INPUT CLOCK Q0 Q
1 1 0 1
1 1 1 0
0 1 unchanged unchanged
0 0 unchanged unchanged<p>Q0 is just Q from the last clock pulse.
User avatar
Chris Smith
Posts: 4325
Joined: Tue Dec 04, 2001 1:01 am
Location: Bieber Ca.

Re: What is this called?

Post by Chris Smith »

You need to buy a book on logic/ gates. <p>Don Lancaster made a good one many years back, [79?]and it leads you through the pathway of all the different types of logic gates. A newer version is preferable.
Robert Reed
Posts: 2277
Joined: Wed Nov 24, 2004 1:01 am
Location: ASHTABULA,OHIO
Contact:

Re: What is this called?

Post by Robert Reed »

Don't know of single chip, but you could use a "D" flip-flop wired for toggle operation by connecting`Q not' back to the `DATA' input. Then feed the clock input with the output of
an `AND' gate.
rstofer
Posts: 115
Joined: Sun May 15, 2005 1:01 am
Contact:

Re: What is this called?

Post by rstofer »

Looks to me like a J-K flop with the J and K inputs both connected to the input signal.<p>Ignoring the clock input (which doesn't count in the truth table), the result would be:<p>Input Result
..0...Q(n+1) = Q(n)
..1...Q(n+1) = not Q(n)<p>Does anybody use flops anymore? The only place I run across them is inside FPGAs.
Enzo
Posts: 276
Joined: Tue Sep 14, 2004 1:01 am
Location: Lansing, Michigan, USA
Contact:

Re: What is this called?

Post by Enzo »

Do you want them ALL to toggle with the clock at once? Or do you want to be able to turn each of the eight channels into toggle mode independently?<p>In any case it sounds like you want a D flip flop to me. Though a JK wil certainly do it too.<p>If you want the whole thing to be toggling or not, rather than use the input high or low, just use the enable pin of the chip to turn it on or off. Look at 74377 or 74LS377 or any other equivalent. It is an octal - 8 places - D flip flop, which sounds like your thing.<p>The D type FF is easy to toggle, just connect its Qnot out to the D in and evey clock cycle it will alternate states. Since the 377 has Q outs, maybe a couple 74379 quad D FF chips would work for you. Even if the outputs were the wrong state, running through a simple inverter gets them back to where you want them.<p>Possibly the 74373 or 374 might be interesting to you. Or even the 74273.
Robert Reed
Posts: 2277
Joined: Wed Nov 24, 2004 1:01 am
Location: ASHTABULA,OHIO
Contact:

Re: What is this called?

Post by Robert Reed »

rstofer: use flip-flops all the time along with all other single purpose IC's. Most of the "wonder Chips" I have tried seem to be pretty narrow in usage.Then I always have to go back to `Building block chips' so that my designs really accomplish the purpose intended.
Enzo
Posts: 276
Joined: Tue Sep 14, 2004 1:01 am
Location: Lansing, Michigan, USA
Contact:

Re: What is this called?

Post by Enzo »

Oh, and the 4174 and 4175 quad D FF might be of interest in CMOS.. The TTL chips I mentioned in the above pots can also be had in CMOS equivalents in the 74HC377 and so on.<p>Don Lancaster's TTL Cookbook was very good for me years ago when learnign about TTL. There is also a CMOS cookbook. Also having a TTL and a CMOS data book is handy for chip selection and explanation of its function. I have Motorola books on my nearby shelf mostly, but all the makers have the books. SInce they largely duplicate, I keep the TI and others elsewhere.
rlang
Posts: 4
Joined: Tue Sep 14, 2004 1:01 am
Location: usa
Contact:

Re: What is this called?

Post by rlang »

Thanks to all who answered my inquirey.

To Chris
I do have the TTL COOKBOOK packed up somewhere to move.<p>To RSTOFER
I think the JK flip flop will work but I think it will take more chips than the D flip flop.<p>To Robert Reed
I had a 7474 D flip flop and a 7408 AND gate in the parts box so I tried your solution. It appears to work fine. I think I can get an octal D flip flop and use two quad AND gates and get by with 3 chips instead of four dual jk flip flop chips.
Gorgon
Posts: 325
Joined: Wed May 04, 2005 1:01 am
Location: Norway
Contact:

Re: What is this called?

Post by Gorgon »

Hi Robert,
Have you thought about using a PAL circuit? I think the PAL22V10 can do all that you want, on up to 10 channels/ outputs.<p>This is of course depending on your resources, like device programmers and so on.<p>Any way, good luck with your project. :) <p>TOK
Gorgon the Caretaker - Character in a childrens TV-show from 1968. ;)
User avatar
philba
Posts: 2050
Joined: Tue Nov 30, 2004 1:01 am
Location: Seattle
Contact:

Re: What is this called?

Post by philba »

or a 6 pin PIC if the speed doesn't need to be faster than 5uS or so. Unless there is a single chip, its going to be the smallest and very close to the cheapest ($.63 q 1 from mouser). needs a programmer and some expertise, though.
rstofer
Posts: 115
Joined: Sun May 15, 2005 1:01 am
Contact:

Re: What is this called?

Post by rstofer »

Gating a clock input to a flop can lead to interesting behavior.<p>I haven't used PALs because I don't want to invest in programmers. However, CPLDs are a lot more friendly to hobbyists.<p>For a project with a clock, 8 inputs and 8 (or 16) output, 3 or 4 dips is probably the way to go. If there is more logic around the flops, I would seriously look at CPLDs. You can put a lot of logic in a Xilinx 9516 or 9532 CPLD and it fits in a 44 pin PLCC. The device can be programmed with a simple JTAG cable - either on the target board or on a breadboard of some type. FWIW, the board real estate may actually be less with a CPLD!<p>The JTAG cable is here: JTAG3 cable http://www.digilentinc.com/Products/Components.cfm $19. Total cost of programming CPLDs is $19. Software is free: Xilinx WebPack ISE.
Post Reply

Who is online

Users browsing this forum: No registered users and 130 guests